From 0264c225ebf83bc515bba2cdcb1718093025d49a Mon Sep 17 00:00:00 2001 From: hneemann Date: Thu, 24 Jan 2019 17:26:25 +0100 Subject: [PATCH] generified vhdl template for new BlockRam, see #232 --- src/main/resources/vhdl2/DIG_BlockRAMDualPortMasked.tem | 8 +++++--- 1 file changed, 5 insertions(+), 3 deletions(-) diff --git a/src/main/resources/vhdl2/DIG_BlockRAMDualPortMasked.tem b/src/main/resources/vhdl2/DIG_BlockRAMDualPortMasked.tem index 47a8a113f..6e1373ae0 100644 --- a/src/main/resources/vhdl2/DIG_BlockRAMDualPortMasked.tem +++ b/src/main/resources/vhdl2/DIG_BlockRAMDualPortMasked.tem @@ -3,14 +3,16 @@ USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; entity is + generic ( + AddrBits : integer ); port ( D: out std_logic_vector ( downto 0); - A: in std_logic_vector ( downto 0); + A: in std_logic_vector ((AddrBits-1) downto 0); Din: in std_logic_vector ( downto 0); str: in is end ; architecture Behavioral of is - type memoryType is array(0 to ) of std_logic_vector( downto 0); + type memoryType is array(0 to (2**AddrBits)-1) of std_logic_vector( downto 0); signal memory : memoryType; signal rData : std_logic_vector ( downto 0) := (others => '0'); begin