diff --git a/src/main/java/de/neemann/digital/core/extern/handler/StdIOProcess.java b/src/main/java/de/neemann/digital/core/extern/handler/StdIOProcess.java index 942fb4f6a..d7758409f 100644 --- a/src/main/java/de/neemann/digital/core/extern/handler/StdIOProcess.java +++ b/src/main/java/de/neemann/digital/core/extern/handler/StdIOProcess.java @@ -165,6 +165,7 @@ public class StdIOProcess implements ProcessHandler { case '1': value |= mask; break; + case 'U': case 'l': case 'L': case '0': diff --git a/src/main/resources/templates/VHDLStdIOTemplate.templ b/src/main/resources/templates/VHDLStdIOTemplate.templ index 9ab9ec263..935207aae 100644 --- a/src/main/resources/templates/VHDLStdIOTemplate.templ +++ b/src/main/resources/templates/VHDLStdIOTemplate.templ @@ -4,7 +4,7 @@ use std.textio.all; entity stdIOInterface is end; -architecture top_sim_a of stdIOInterface is +architecture stdIOInterface_a of stdIOInterface is function chr(sl: std_logic) return character is variable c: character; @@ -47,7 +47,7 @@ architecture top_sim_a of stdIOInterface is signal mainOut : std_logic_vector(%outcount% downto 0); begin - stdIOInterface_0: %name% port map ( + UserCode: %name% port map ( %map% );