From da72a5032314f5896a7e55834e3af8b06ff8afb2 Mon Sep 17 00:00:00 2001 From: hneemann Date: Mon, 20 May 2019 07:42:12 +0200 Subject: [PATCH] allow toolchain config parameters --- src/main/dig/hdl/BASYS3.config | 27 ++++++---- src/main/dig/hdl/VerilogClockExample.config | 51 ++++--------------- .../digital/toolchain/Configuration.java | 45 +++++++++++++--- .../java/de/neemann/gui/language/Bundle.java | 2 +- .../de/neemann/gui/language/Resources.java | 41 +++++++++++++-- .../neemann/digital/toolchain/BASYS3Test.java | 2 +- .../digital/toolchain/ConfigurationTest.java | 2 +- 7 files changed, 106 insertions(+), 64 deletions(-) diff --git a/src/main/dig/hdl/BASYS3.config b/src/main/dig/hdl/BASYS3.config index e0c4eed18..68405b40d 100644 --- a/src/main/dig/hdl/BASYS3.config +++ b/src/main/dig/hdl/BASYS3.config @@ -7,10 +7,15 @@ vivado/<?=shortname?>.xpr + + + xc7a35ticpg236-1L + .vhdl + + LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; @@ -22,7 +27,7 @@ entity clockGenerator is cin: in std_logic ); end clockGenerator; - + architecture Behavioral of clockGenerator is -- Don't use a logic signal as clock source in a real world application! @@ -89,7 +94,7 @@ begin M_IDEAL := D_MIN*VCO_MAX/F_IN; - F_DES := hdl.frequency/1000000.0; + F_DES := model.frequency/1000000.0; bestError:=F_DES; bestErrorM:=M_MAX; @@ -205,11 +210,11 @@ end Behavioral; ]]> - + ]]> - + \n");?> - - + - + + + \n" + " \n" + - " deal with <?=path?>, Bits: <?=hdl.ports[0].bits?> (<?=hdl.ports[0].name?>)\n" + + " deal with <?=path?>, Bits: <?=model.ports[0].bits?> (<?=model.ports[0].name?>)\n" + " \n" + " \n" + " test\n" +