mirror of
https://github.com/hneemann/Digital.git
synced 2025-09-19 09:54:49 -04:00
allow startup if vhdl has undefined state.
This commit is contained in:
parent
ac71699351
commit
7cd07096f5
@ -165,6 +165,7 @@ public class StdIOProcess implements ProcessHandler {
|
||||
case '1':
|
||||
value |= mask;
|
||||
break;
|
||||
case 'U':
|
||||
case 'l':
|
||||
case 'L':
|
||||
case '0':
|
||||
|
@ -4,7 +4,7 @@ use std.textio.all;
|
||||
|
||||
entity stdIOInterface is end;
|
||||
|
||||
architecture top_sim_a of stdIOInterface is
|
||||
architecture stdIOInterface_a of stdIOInterface is
|
||||
|
||||
function chr(sl: std_logic) return character is
|
||||
variable c: character;
|
||||
@ -47,7 +47,7 @@ architecture top_sim_a of stdIOInterface is
|
||||
signal mainOut : std_logic_vector(%outcount% downto 0);
|
||||
|
||||
begin
|
||||
stdIOInterface_0: %name% port map (
|
||||
UserCode: %name% port map (
|
||||
%map%
|
||||
);
|
||||
|
||||
|
Loading…
x
Reference in New Issue
Block a user