allow startup if vhdl has undefined state.

This commit is contained in:
hneemann 2018-03-08 18:39:27 +01:00
parent ac71699351
commit 7cd07096f5
2 changed files with 3 additions and 2 deletions

View File

@ -165,6 +165,7 @@ public class StdIOProcess implements ProcessHandler {
case '1':
value |= mask;
break;
case 'U':
case 'l':
case 'L':
case '0':

View File

@ -4,7 +4,7 @@ use std.textio.all;
entity stdIOInterface is end;
architecture top_sim_a of stdIOInterface is
architecture stdIOInterface_a of stdIOInterface is
function chr(sl: std_logic) return character is
variable c: character;
@ -47,7 +47,7 @@ architecture top_sim_a of stdIOInterface is
signal mainOut : std_logic_vector(%outcount% downto 0);
begin
stdIOInterface_0: %name% port map (
UserCode: %name% port map (
%map%
);